svgakeymap(1)							Svgalib User Manual						     svgakeymap(1)

NAME
svgakeymap - generates keymaps for svgalib SYNOPSIS
svgakeymap [physical_table [program_table]] > output.keymap DESCRIPTION
Generates a keymap conversion file for svgalib(7) from two keytable definitions. Keytables are searched for in /usr/share/keymaps and are automatically filtered through gzip(1) if necessary. If only one keytable is specified, a keymap is generated that performs no conversion but contains the proper key names for that layout. If no keytables are specified, the standard US QWERTY keyboard is used. The generated keymap is sent to the standard output and may be redirected or piped in any creative manner you wish. FILES
/usr/share/keymaps/*/*/*.map[.gz] The system keytable files used by loadkeys(1). BUGS
Can get confused if there is more than one key with a given name or if names do not match properly between keytables. Keymaps may require manual editing. AUTHOR
Brion Vibber <brion@pobox.com> SEE ALSO
libvga.config(5) README.keymap from the svgalib distribution Svgalib 1.3.0 3 July 1998 svgakeymap(1)