Sponsored Content
Special Forums News, Links, Events and Announcements Software Releases - RSS News Ragel State Machine Compiler 6.3 (Default branch) Post 302230487 by Linux Bot on Friday 29th of August 2008 06:50:07 PM
Old 08-29-2008
Ragel State Machine Compiler 6.3 (Default branch)

Ragel compiles finite state machines from regular languages into C, C++, Objective-C, D, Java, or Ruby code. It allows the programmer to embed actions at any point in a regular language, and to control non-determinism in the resulting machines. It understands concatenation, union, kleene star, subtraction, intersection, epsilon transitions, and various other common operators. It also supports the construction of scanners and the building of state machines using state charts. It can be used to create very fast recognizers and parsers that are also robust. License: GNU General Public License (GPL) Changes:
Duplicate include prevention was fixed and improved. A false assertion spurred during the creation of scanners with conditions was fixed. A crash on the failed lookup of a goto/call target was fixed. Image

Image

More...
 

3 More Discussions You Might Find Interesting

1. Programming

How Can a Machine Reads a Compiler Since A Compiler is Written in Text! Not Binaries?

To make a programming language you need a compiler, so what was the first programming language and how was is created if you need the compiler first? The compiler itself is considered as a high language comparing to the machine! since the compiler is not created in 1's and 0's... Eventhough i... (12 Replies)
Discussion started by: f.ben.isaac
12 Replies

2. Programming

Bitwise operation for state machine

Hello All, I am writing basic state machine which maintains 8 different states and there is posibility that system may be in multiple states at a time (Except for state1 to state3. menas only once state can be active at a time from state1 to state3). I have declared... (9 Replies)
Discussion started by: anand.shah
9 Replies

3. Shell Programming and Scripting

Vim function to generate RTL Code(finite state machine) in verilog

Hi I wanted to call the AutoFsm function (given below) in vim to generate a code something like: **********verilog code to generate ************* always @(posedge clk or negedge rst_n) begin if(!rst_n) begin state_r <= #1 next_stateascii_r; ... (0 Replies)
Discussion started by: dll_fpga
0 Replies
clog10(3M)																clog10(3M)

NAME
clog10(), clog10f(), clog10l(), clog10w(), clog10q() - complex base-10 logarithm functions SYNOPSIS
DESCRIPTION
returns the complex base-10 logarithm of z in the range of a strip mathematically unbounded along the real axis and in the interval along the imaginary axis. There is a branch cut along the negative real axis. is a version of it takes a argument and returns a result. is a version of it takes a argument and returns a result. is an version of it takes an argument and returns an result. is equivalent to on HP-UX systems. USAGE
To use these functions, compile with the default option. To use or compile with the option. Make sure your program includes Link in the math library by specifying on the compiler or linker command line. RETURN VALUE
= returns and raises the divide-by-zero floating-point exception. returns -Inf+i0 and raises the divide-by-zero floating-point exception. returns for finite x. returns NaN+iNaN and optionally raises the invalid floating-point exception, for finite x. returns for finite positive-signed y. returns +Inf+i0, for finite positive-signed y. returns returns returns +Inf+iNaN. returns NaN+iNaN and optionally raises the invalid floating-point exception, for finite y. returns +Inf+iNaN. returns NaN+iNaN. ERRORS
No errors are defined. SEE ALSO
cexp10(3M), clog(3M), log10(3M), complex(5). STANDARDS CONFORMANCE
These functions are not specified by any standard. HP Integrity Server Only clog10(3M)
All times are GMT -4. The time now is 05:49 AM.
Unix & Linux Forums Content Copyright 1993-2022. All Rights Reserved.
Privacy Policy